MSX Village forum

L'atelier [en cours] Création / Fabrication d'une Cartouche MSX

ericb59 Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++ Groupe : Shoutbox

Inscrit le : 17/04/2012 à 10h25

Messages: 5489

Le 26/07/2013 à 04h45

Reprise du message précédent

@MSXlegend : En ce qui me concerne ce sera sans doute une 20aine de cartouches effectivement. Mais comme je l'ai expliqué quelques posts plus haut a Jipe. J'entrevois là la possibilité d'offrir un service d'édition de cartouches à la communauté MSX.
Quelle est la situation aujourd'hui ? Il y a dans le village des plans, des ressources extraordinaires qui peuvent intéresser bon nombre de nos compatriotes MSXiens, mais, il est souvent nécessaire de réinventer la roue pour s'en sortir seul dans son coin.
Si je prend mon cas personnel comme exemple, j'aimerai mettre mes jeux dans des cartouches pour les distribuer.
Comme je n'y connais rien en électronique je suis bien obligé de demander l'aide de ceux qui savent... Alors qu'un Fabf ou un Z80, et même toit vous êtes capable tout seul de créer votre cartouche avec seulement le schéma de Jipe.

Combien de personnes sont dans mon cas ? Je ne vais pas dire des milliers, mais peut être quelques dizaines...
C'est suffisant dans l'immédiat pour essayer de faire grandir un peu l'envie de développer sur MSX et dynamiser le marcher homebrew.
Actuellement seuls les espagnol et les hollandais font des cartouches MSX. Pourquoi pas en france aussi ?

Donc a terme, j'aimerai que grâce à ce projet, on puisse proposer aux Msx users
- soit d'acheter un Kit PCB à monter ou déjà monté pour que l'acheteur puisse y mettre une Eprom ou une flashrom lui même
- soit d'acheter un kit complet prêt à l'emploi de son jeu sur une eprom, avec boite (UGC) jaquette papier etc...

D'où l'intérêt de chercher le meilleur compromis prix/service

Pour en revenir au CPLD proposé par Z80 et Fabf. Je trouve que c'est bien de faire évoluer la technologie.
Grace au CPLD on peut avoir une cartouche avec seulement 2 composants à souder. C'est génial !
Et puis le CPLD c'est pas si petit que ça il fait 1cm de coté pour un modèle et 1,6cm de coté pour l'autre. rien à voir avec du CMS.

Concrètement je souhaite que les 2 technologies soient possibles, donc 2 PCB.
Je vais faire un autre post pour expliquer...



EDIT : j'ai édité les premiers posts du topic avec les prix que j'ai trouvé pour l'instant.


Edité par ericb59 Le 26/07/2013 à 05h21


banniere-ericb59e
Site web    
ericb59 Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++ Groupe : Shoutbox

Inscrit le : 17/04/2012 à 10h25

Messages: 5489

Le 26/07/2013 à 05h04
@Z80 et @Fabf

Faisons le point.
Avec un CPLD à moins de 3€, le projet est viable.
Toute fois, j'aimerai pouvoir aussi compter sur la technologie "classique" dans le cas ou un problème technique ou de non-compatibilité ou je ne sais quelle autre tuile qui peux arriver. je sais par expérience que jamais rien ne se déroule comme on l'a prévu avec l'informatique !

PCB à base de CPLD
Questions :
- est-ce qu'avec le CPLD il est nécessaire encore d'avoir le réseau de résistance ou pas ?
- comment on reprogramme le CPLD sans le dessouder ou l'enlever du PCB ?

Je souhaiterai que sur la carte CPLD il y ai tout de même les jumpers pour configurer la mapper et configurer le mode Eprom ou Flashrom
J'ai bien compris z80, que le CPLD pouvait être programmé en fonction des besoins. Mais justement, tout le monde ne sais pas programmer le CPLD ni ne possède le matériel nécessaire (même si il n'est pas cher).
De cette façon, un PCB avec CPLD intégré peut être proposé aux MSX Users. Libre à lui de le configurer avec le mapper qu'il souhaite, et choisir son mode eprom ou Flashrom.
Je comprend aussi, que le fait de garder des jumpers externes, force à augmenter la surface du PCB. Mais c'est un compromis pour que la carte soit au final facilement utilisable.
Etant donné que je souhaite proposer un PCB avec au choix une EPROM ou une Flash Rom il faut mettre celle-ci sur un support. J'espère qu'il y a la place en hauteur dans les cartouches pour cela.

PCB à base de composants classique
Rien de bien nouveau à demander, si ce n'est de modifier le schéma original de Jipe pour y intégrer un jumper pour configurer le mode Eprom ou flashrom.
De même , étant donné que je souhaite proposer un PCB avec au choix une EPROM ou une Flash Rom il faut mettre celle-ci sur un support. J'espère qu'il y a la place en hauteur dans les cartouches pour cela.


voilà... je vous laisse la parole :D Edité par ericb59 Le 26/07/2013 à 05h23


banniere-ericb59e
Site web    
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 26/07/2013 à 06h34
Ca rentre pas avec un support Eprom ou flashrom :(
ericb59 Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++ Groupe : Shoutbox

Inscrit le : 17/04/2012 à 10h25

Messages: 5489

Le 26/07/2013 à 06h40
mince ! Pas assez de hauteur dans la boite ?
Ca n'existe pas des support SLIM :hum Edité par ericb59 Le 26/07/2013 à 06h40


banniere-ericb59e
Site web    
ericb59 Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++ Groupe : Shoutbox

Inscrit le : 17/04/2012 à 10h25

Messages: 5489

Le 26/07/2013 à 07h30
juste pour préciser encore un peut les choses sur la finalité de mon projet.
je propose, que quand on en sera à vendre le produit de nos efforts, quelques euros soient reverssés à l'association msx village pour chaque cartouche ou pcb vendue (montant à déterminer suivant le prix de vente qui sera pratiqué)...

ce sera la CVA

pour Contribution Volontaire pour l'Association :p Edité par ericb59 Le 26/07/2013 à 07h41


banniere-ericb59e
Site web    
z80 Membre non connecté

Villageois

Rang

Avatar

Inscrit le : 17/05/2013 à 22h52

Messages: 956

Le 26/07/2013 à 10h42
ericb59 :
Toute fois, j'aimerai pouvoir aussi compter sur la technologie "classique" dans le cas ou un problème technique ou de non-compatibilité ou je ne sais quelle autre tuile qui peux arriver. je sais par expérience que jamais rien ne se déroule comme on l'a prévu avec l'informatique !


C'est vrai pour les travaux dans la maison, les légumes dans le jardin, le temps d'attente à la poste etc...



ericb59 :
PCB à base de CPLD

Questions :

- est-ce qu'avec le CPLD il est nécessaire encore d'avoir le réseau de résistance ou pas ?


Je ne sais pas je vais regarder...

mais c'est pas bien cher un réseau de résistance...



ericb59 :
- comment on reprogramme le CPLD sans le dessouder ou l'enlever du PCB ?


Pas besoin de déssouder, il y a des broches dédiées qui servent à reprogrammer le CPLD. C'est l'autre intéret de cette technologie, la prémière étant de pourvoir programmer dedans les fonctions dont tu a besoin avec la répartition des signaux sur les broches majoritairement comme tu veux. En effet certaines broches servent à la programmation et certaines autres on des fonctions définie comme l'horloge (au cas ou tu utiliserai des compteurs dans ton design).



ericb59 :
Je souhaiterai que sur la carte CPLD il y ai tout de même les jumpers pour configurer la mapper et configurer le mode Eprom ou Flashrom

J'ai bien compris z80, que le CPLD pouvait être programmé en fonction des besoins. Mais justement, tout le monde ne sais pas programmer le CPLD ni ne possède le matériel nécessaire (même si il n'est pas cher).


Pas besoin de reprogrammer le CPLD fonction de la config mapper. Je parlais d'ajouter un "mécanisme" qui inclus les jumper dans le CPLD et que certaines des pattes du CPLD servent à "dire" au CPLD quelle config appliquer.



ericb59 :
De cette façon, un PCB avec CPLD intégré peut être proposé aux MSX Users. Libre à lui de le configurer avec le mapper qu'il souhaite, et choisir son mode eprom ou Flashrom.

Je comprend aussi, que le fait de garder des jumpers externes, force à augmenter la surface du PCB. Mais c'est un compromis pour que la carte soit au final facilement utilisable.

Etant donné que je souhaite proposer un PCB avec au choix une EPROM ou une Flash Rom il faut mettre celle-ci sur un support. J'espère qu'il y a la place en hauteur dans les cartouches pour cela.


Je pensais que ça rentrerai, mais Fabf semble avoir déjà fait le test. :)



ericb59 :
PCB à base de composants classique

Rien de bien nouveau à demander, si ce n'est de modifier le schéma original de Jipe pour y intégrer un jumper pour configurer le mode Eprom ou flashrom.

De même , étant donné que je souhaite proposer un PCB avec au choix une EPROM ou une Flash Rom il faut mettre celle-ci sur un support. J'espère qu'il y a la place en hauteur dans les cartouches pour cela.


même commentaire :)


TurboR GT (1Mo), CANON V20! ( en kit, modif 2+ en cours) :top
Pas encore retrouvés: V9990, Grafx9000, SUNRISE IDE 2x CF, SUNRISE MOONSOUND, FM PAC, MUSIC MODULE, NMS8280, SD SNATCHER,... :\'(
   
z80 Membre non connecté

Villageois

Rang

Avatar

Inscrit le : 17/05/2013 à 22h52

Messages: 956

Le 26/07/2013 à 10h45
Pour info pour le CPLD, regarde aussi les POGOPIN sur eBay. Je ne compte pas prévoir de connecteur sur le PCB pour programmer le CPLD.
Ca devrait te plaire le concept de POGOPIN ;)


TurboR GT (1Mo), CANON V20! ( en kit, modif 2+ en cours) :top
Pas encore retrouvés: V9990, Grafx9000, SUNRISE IDE 2x CF, SUNRISE MOONSOUND, FM PAC, MUSIC MODULE, NMS8280, SD SNATCHER,... :\'(
   
z80 Membre non connecté

Villageois

Rang

Avatar

Inscrit le : 17/05/2013 à 22h52

Messages: 956

Le 26/07/2013 à 10h51


TurboR GT (1Mo), CANON V20! ( en kit, modif 2+ en cours) :top
Pas encore retrouvés: V9990, Grafx9000, SUNRISE IDE 2x CF, SUNRISE MOONSOUND, FM PAC, MUSIC MODULE, NMS8280, SD SNATCHER,... :\'(
   
z80 Membre non connecté

Villageois

Rang

Avatar

Inscrit le : 17/05/2013 à 22h52

Messages: 956

Le 26/07/2013 à 11h08
MSXlegend :
J'ai relu un peu le post, et certain semble oublier qu'il s'agit de faire une vingtaine de pieces et non pas 100 a 500 pieces. Je pense que pour ericb59, il n'est pas necessaire d'essayer de grater 1 ct par ci et par là pour ci peu non :hum


Tu n'es pas cohérent dans ton résonement, ici tu dis "il n'est pas necessaire d'essayer de grater 1 ct par ci et par là pour ci peu"

Et la phrase ci dessous: " tout le monde lache sa science alors que l'on peux faire simple et pas cher"



MSXlegend :
j'allucine quand je voi que tout le monde lache sa science alors que l'on peux faire simple et pas cher.


Je te trouve bien virulent mon cher! Je n'ai rien imposé, mais juste cité des solutions techniques.



MSXlegend :
Pour info, une cartouche SCC va se toucher au minimum à 30 à 35 euros :( Donc c'est tout a fait normal d'essayer d'obtenir moin cher ...

Les neofites doivent etres capable de souder de simple composant et pas des petits composant de M :oups . LS et puce traditionnel sont suffisant.

ET autre chose, a quoi bon reduire la taille du pcb si c'est pour qu'elle nage dans la cartouche, pour gagner 1 ou 2 euros :hum


Pas certain qu'un néophyte soit tenté par l'assemblage vs un produit fini...

CMS ne signifie pas composants microscopiques non manipulables par un être humain. Mais Composant Monté en Surface.

Sais-tu seulement que les cartes prototype avec un composant 256 pattes avec un pas de 0.4 et des pattes d'une largeur de 0.2mm se soude à la main avec un GROS fer de 80W avec une GROSSE panne en cuivre qui fait environ 15 a 20mm de large?

Comme pour tout il y a une technique et quand on ne l'a connais pas cela semble insurmontable.

Tu as le droit de ne pas apprécier cette techno par conviction personnelle, mais laisse le libre arbitre aux autres.

Après les CPLD choisi l'on été parce que le pas tourne autour de 1,27 ou 0,8mm qui est accessible à tous.



MSXlegend :
Vous etes entrain de monter un gros commerce les gars ou quoi :| :s


Non juste mettre des solutions sur la table pour faire un choix en toute connaissance de causes.


TurboR GT (1Mo), CANON V20! ( en kit, modif 2+ en cours) :top
Pas encore retrouvés: V9990, Grafx9000, SUNRISE IDE 2x CF, SUNRISE MOONSOUND, FM PAC, MUSIC MODULE, NMS8280, SD SNATCHER,... :\'(
   
ericb59 Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++ Groupe : Shoutbox

Inscrit le : 17/04/2012 à 10h25

Messages: 5489

Le 26/07/2013 à 12h39
@Z80 : comment je peux m'y retrouver, ce ne sont pas les mêmes références de CPLD que celle que fabf à testé. :oups Bien que tu l'avais proposée plus haut, je sais...
Je demande au vendeur le prix pour 20 avec FDP, mais ça risque d'être moins intéressant que les prix déjà trouvés malgré un prix de base plus bas.

J'ai cherché pogo pin. Ca sert de connecteur pour les petits éléments c'est ça, ou entre deux PCB.

Je ne comprends pas ce que tu veux dire par "mécanisme qui inclus les jumper dans le CPLD".
Mais ne perd pas de temps à m'expliquer, je suis certain que de ton coté tu as compris mon souhait que ce soit configurable facilement de l'extérieur, sans avoir à programmer quoi que soit.

Pour le support CI si ça ne passe pas on fera sans. Mais ça m'embête un peu. J'ai vite fait regardé dans une cartouche konami, j'aurai dit à vue d'oeil que ça devrait passait, mais bon ... Là n'est pas l'essentiel.

On passe à la suite ?

@fabf : j'ai lu un de tes topics où tu avais essayé une carte avec 1024ko de flash avec CPLD il me semble. Tu n'as pas réussi à le faire fonctionner. Le problème c'est la Flashrom de 1024k ou le CPLD ?

Autres questions généralistes. J'avais demandé si on pouvait passé sur des Eproms ou Flashrom de 1024ko sur le même PCB. J'ai bien compris que non.
Par contre est-ce que l'inverse est possible ?
Supposont qu'un programmeur veule faire une cartouche pour un jeu en ASM de 32ko. est-ce qu'on peut mettre une eprom de 32ko sur le PCB sans modifications ? Edité par ericb59 Le 26/07/2013 à 12h40


banniere-ericb59e
Site web    
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 26/07/2013 à 12h46
Je sais pas pourquoi ça a pas marché.
C'est pas la même gamme/génération de CPLD.

ericb59 Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++ Groupe : Shoutbox

Inscrit le : 17/04/2012 à 10h25

Messages: 5489

Le 26/07/2013 à 13h02
Aurais tu l'occasion de faire un vrai test avec CPLD + Eprom 512k et un autre CPLD+Flashrom 512k
Faut quand même être certain que ça vas fonctionner...


banniere-ericb59e
Site web    
Fabf Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++

Inscrit le : 24/08/2010 à 20h55

Messages: 4833

Le 26/07/2013 à 14h31
C'est pas dans mes projets de septembre mais si il le faut je ferais le test.
Par contre je n'ai pas de EPM3064 mais des EPM7128S
z80 Membre non connecté

Villageois

Rang

Avatar

Inscrit le : 17/05/2013 à 22h52

Messages: 956

Le 26/07/2013 à 14h33
ericb59 :
@Z80 : comment je peux m'y retrouver, ce ne sont pas les mêmes références de CPLD que celle que fabf à testé. :oups Bien que tu l'avais proposée plus haut, je sais...




c'est un 64 macrocell 7064 le reste c'est moins important.



Je demande au vendeur le prix pour 20 avec FDP, mais ça risque d'être moins intéressant que les prix déjà trouvés malgré un prix de base plus bas.



ericb59 :
J'ai cherché pogo pin. Ca sert de connecteur pour les petits éléments c'est ça, ou entre deux PCB.


pour faire simple, sur le PCB je vais créer des pastille reliée au broche de programmation du CPLD calé sur une grille au pas des plaque d'essai comme celles utilisées par igal et les autres membre de ce forum. On prend un eplaque d'essai sur laquelle on soude des POGOPIN (pointe de touche à ressort en gros) cette plaque d'essai avec les POGOPIN possède aussi un connecteur 2x5 voies comme celui du connecteur de l'outil de programmation à 15$ max.

Tu connecte taplaque d'essai POGOGPIN au programmateur de CPLD. En suite après avoir soudé le CPLD sur le PCB, tu plaque la carte avec les POGOPIN sur ton PCB, les POGOPIN viennent faire contacte sur les pastilles dédiées à la programmation du CPLD.

Tu "flash" ton CPLD, tu retire la plaque POGOPIN en fin de programmation et voila c'est fini ton CPLD est programmé et tu n'as pas eu besoin de souder un connecteur pour chaque cartouche.





ericb59 :
Je ne comprends pas ce que tu veux dire par "mécanisme qui inclus les jumper dans le CPLD".

Mais ne perd pas de temps à m'expliquer, je suis certain que de ton coté tu as compris mon souhait que ce soit configurable facilement de l'extérieur, sans avoir à programmer quoi que soit.




Le mécanisme en question c'est d'ajouter quelques porte logique pour "commuter" les signaux selon le mode choisi. J'essairai de faire un schéma ce week-end avec le .RAR de Fabf.

Pas ce soir j'suis mort, je me suis couché à 3h00 du mat parce voiture tombé en panne et reveil à 6h30. je réccupère ce soir et voit ça demain :)



ericb59 :
Autres questions généralistes. J'avais demandé si on pouvait passé sur des Eproms ou Flashrom de 1024ko sur le même PCB. J'ai bien compris que non.

Par contre est-ce que l'inverse est possible ?

Supposont qu'un programmeur veule faire une cartouche pour un jeu en ASM de 32ko. est-ce qu'on peut mettre une eprom de 32ko sur le PCB sans modifications ?


Ca va être chia*t de gérer tous les type de taille mémoire! sauf à faire un jeux en screen2, va pas y a voir beaucoup de jeux en 32Ko...

Match Maniac, M-KID sont des jeux qui font plus de 32KO! :)

même pas de quoi charger les musiques et même si le musique passent , les grah en screen5 /screen11 faut oublié ;)


TurboR GT (1Mo), CANON V20! ( en kit, modif 2+ en cours) :top
Pas encore retrouvés: V9990, Grafx9000, SUNRISE IDE 2x CF, SUNRISE MOONSOUND, FM PAC, MUSIC MODULE, NMS8280, SD SNATCHER,... :\'(
   
z80 Membre non connecté

Villageois

Rang

Avatar

Inscrit le : 17/05/2013 à 22h52

Messages: 956

Le 26/07/2013 à 14h42
Fabf :
C'est pas dans mes projets de septembre mais si il le faut je ferais le test.

Par contre je n'ai pas de EPM3064 mais des EPM7128S


Design sous contrainte avec fixation du device à 7064S

Quand le disgn compile pour du 7064S, tu recompile en 7128S :) et hop c'est fait ;)


TurboR GT (1Mo), CANON V20! ( en kit, modif 2+ en cours) :top
Pas encore retrouvés: V9990, Grafx9000, SUNRISE IDE 2x CF, SUNRISE MOONSOUND, FM PAC, MUSIC MODULE, NMS8280, SD SNATCHER,... :\'(
   
ericb59 Membre non connecté

Conseiller Municipal

Rang

Avatar

Groupe : compte ++ Groupe : Shoutbox

Inscrit le : 17/04/2012 à 10h25

Messages: 5489

Le 26/07/2013 à 14h51
ok pas de probleme. merci.
pourra tu aussi faire le schéma pour la version composants classiques.
pour l'histoire de l'eprom 32 k c'est juste pour l'exemple.
en fait j'aimerai savoir si sur le même pcd on pourra installer d'autre eprom de capacités différentes, si oui lesquelles.
si. e n'est pas possible ou compliqué ce n'est pas grave.


banniere-ericb59e
Site web    
Répondre
Vous n'êtes pas autorisé à écrire dans cette catégorie